打造IC人才
科技生态圈

半导体设备国产进程加速

发布时间:2022-09-29

来源:IC修真院

国产半导体发展在矛盾的市场环境中踩下了油门。

近年半导体国产替代浪潮刺激着大量玩家蜂拥而入,从半导体材料、设备一路蔓延到芯片设计、晶圆制造及封测,都回响着国产替代的口号,前景一片大好。

但疫情三年以来,全球半导体供应链的混沌与危机也在持续蔓延,进一步辐射到国内半导体产业,狠狠敲打着每一家企业和投资人的心。半导体产业已然处于资本大环境收缩的风暴眼中。

在这个矛盾时刻,“贪婪”还是“恐惧”?是赤裸裸摆在每一个玩家面前的难题。

如果我们从融资数据中寻找答案——IT桔子统计数据,集成电路(IC)行业的投融资规模正在逐年攀升,投资事件和融资规模已从2018年的312起、670.88亿元,增长至2021年的543起、1255.99亿元。这是第一个历史新高。

从行业角度看,市场研究机构IC Insights报告预测,2022年全球半导体行业资本开支有望达到1904亿美元(约12017亿人民币),同比增长24%。这是第二个历史新高。

图片

2008-2022年全球半导体资本支出趋势(图源:IC Insights)

不可否认,当下经受着行业大洗牌的国产半导体,正在艰难地走向高峰。在这艰难一战中,如何看清硝烟背后的危与机,是每一家企业几乎关于生死的抉择。

作为产业布局者的玩家们,该如何在供应链危机四伏的局势中突出重围?同时投资者作为产业背后的隐形推手,又该如何拨开这层层迷雾,直击机会的靶心?

半导体各环节国产替代进展程

半导体各环节国产替代从19年就已经开始,半导体板块也涌现出千亿级别的上市公司,其中各个环节国产化率都有显著提升。

1)设计环节,工业和车规级芯片设计的国产化率是偏低的,另外主芯片比如CPU/GPU/SOC等国产化率仍然比较低。目前设计层面国产化率比较高的是与手机相关的包括IOT等相关的消费类非主芯片一类的产品,比如摄像头、CIS、射频指纹识别、电源管理、部分功率器件等,相对国产化率较高。但是比如电脑的CPU/GPU以及手机的主芯片SOC,还有车规级的自动驾驶、智能座舱等芯片的设计层面国产化率仍然较低。整体来看在手机和消费电子领域国产化率已经比较高了,但是在工业和车规主芯片的方向仍然比较低。

2)封测层面,也包括传统封装、先进封装以及近期热门的Chiplet封装。Chiplet目前国产化率较低,几乎接近,因为Chiplet更多涉及到前道制造工艺,也不是传统的封装厂可以涉及到的,里面有涉及到很多前道的设备、布线工艺等,目前全球做的最好的是台积电,然后是Intel、三星等具有晶圆制造能力的一些公司。

3)偏上游的EDA软件、设备、材料等国产化率相对比较低。设备整个板块比较低,具体来看光刻胶是前道制造中国产化率几乎为零的设备类型,像光学检测国产化率也是个位数;其他比如清洗剂、CMP设备、刻蚀、薄膜沉积等一类国产化率高的可以超过50%,低一点的也会有20%左右,设备整体呈现偏结构化特征。材料细分品类更多,光刻胶、掩模版国产化率较低,电子特气、抛光液等国产化率近年在快速往上。

越往上游,国产化率低是有原因的,一方面是技术难度高,另一方面是客户包括晶圆厂的验证导入周期比较长。设计环节消费电子类国产化率高,是因为从设计难度、温度、适用范围、可靠性、稳定性等角度来看,都比工业与车规简单,同时消费电子产品生命周期更短、一般2-4年,对芯片要求不是很高;而工业和车规的可能在5-10年,总体验证周期很长。

因此国产化率的标准,不一定按照技术难度,也包括导入壁垒、验证壁垒等影响因素。目前美国对国内的限制更多也是在上游,半导体整体脱胎于美国,经过多年的发展,美国将产业链上面的一些环节剥离到海外,本土保留轻资产、高ROE、高毛利的环节,比如设备、EDA软件、IP授权等,重资产的晶圆制造、封测以及其他技术壁垒不太高的芯片都逐步转向其他地区,因此美国对国内的限制业主要是在上游更加关键的领域。

展望未来,最急需国产替代的环节应该是设备、材料、EDA软件、芯片设计的CPU/GPU等环节,在本轮半导体反弹也是以国产替代强化的逻辑为主。除了技术层面之外,比如车规级关键芯片等也都急需实现国产化,以对当前国内新能源汽车发展进行弥补,也会涌现出相关的投资机会。

以下我们分设备类型,继续分析各类细分设备中国际和国内厂商中标情况。

1、刻蚀:国产化率 22%,中微公司、北方华创、屹唐股份三强崛起

长江存储:国产刻蚀设备主要采购自中微公司、北方华创、屹唐股份。在长江存储 2017~2021 年刻蚀设备招标中,中微公司设备中标数量位列第三,累计 58 台,仅次于泛 林、东京电子,高于应用材料,体现出中微公司在刻蚀设备领域达到国际水平的技术竞 争力。北方华创、屹唐股份仅次于应用材料,分别录得 24 台、18 台。

从刻蚀细分类型来看,中微公司主要中标设备包括通孔刻蚀、接触孔刻蚀、介质 (氧化硅等)刻蚀、沟槽刻蚀等,其中 2020 年首次中标沟槽刻蚀;北方华创主要中标设 备包括硅槽刻蚀、铝刻蚀等;屹唐股份主要中标设备为介质(氮化硅、氮氧化硅等)刻 蚀、钝化层刻蚀等。

图片

华力集成:中微公司中标数量位列第二,仅次于泛林,高于东京电子、应用材料。过去五年华力集成招标期间,中微公司共中标 15 台,北方华创中标 1 台。其中中微公司 中标设备包括光阻刻蚀、铜互连沟槽刻蚀、钝化膜刻蚀、通孔刻蚀、多晶硅刻蚀等,北 方华创中标设备为多晶硅 STI 刻蚀。

华虹无锡:中微公司位列第二,仅次于泛林,高于迪恩士、东京电子。中微公司共 中标 11 台,北方华创中标 6 台,其中中微公司中标设备包括钝化膜刻蚀、氧化膜刻蚀、 介质侧墙刻蚀等,北方华创中标设备包括多晶硅刻蚀、浅沟槽刻蚀等。

总结:刻蚀设备方面,中微公司、北方华创、屹唐股份分列国内前三,其中中微公 司工艺覆盖范围相对较广,其主力出货类型为 CCP(电容耦合等离子刻蚀),面向介质刻 蚀较多,近期 ICP(电感耦合等离子刻蚀)逐步发力,未来工艺范围有望进一步拓宽;北 方华创主要工艺覆盖为多晶硅、浅沟槽、铝刻蚀等类型,主要面向金属、硅等导体刻蚀 为主;屹唐股份在长江存储获得大量采购,主要面向介质刻蚀。从三座晶圆厂累计招标 情况统计,国产设备中标总数 133 台,晶圆厂招标设备总数 605 台,由此计算国产化率 约 22.0%(按照台数占比,下同)。与国外厂商相比,国产刻蚀设备在刻蚀精度、工艺覆 盖率等方面还存在进一步提升空间。

图片

2、薄膜沉积:国产化率 4.6%,拓荆科技、北方华创、盛美上海为国产前 三强

长江存储:薄膜沉积设备主要采购日美设备,包括东京电子、国际电气、泛林、应 用材料等。国产厂商中,拓荆科技、北方华创分别中标 14 台、11 台,其中拓荆科技中标 设备主要为 PECVD(等离子增强化学气相沉积),北方华创中标设备主要为 PVD(物理 气相沉积)。

华力集成:应用材料中标最多,国产包括拓荆科技、北方华创、盛美上海。其中拓 荆科技中标设备为 PECVD,北方华创中标设备为溅射设备,盛美上海中标设备为铜电镀 设备。

华虹无锡:主要采购应用材料、泛林,国产厂商包括北方华创、拓荆科技、江苏芯 梦。其中,北方华创中标设备为 PVD,拓荆科技中标设备为 PECVD,江苏芯梦中标设备为化学镀设备。

图片

总结:薄膜沉积设备方面,拓荆科技、北方华创、盛美上海分列国内前三,但三家 厂商设备类型有明显差异,其中拓荆科技主要为 PECVD(等离子增强化学气相沉积), 北方华创主要为 PVD(物理气相沉积),盛美上海涉及电镀设备,三家厂商均是对应细分 设备(PECVD、PVD、电镀)领域的国内龙头,产业地位突出。从三座晶圆厂累计招标 情况统计,国产设备中标总数 44 台,晶圆厂招标设备总数 967 台,由此计算国产化率约 4.6%。与海外厂商相比,国产厂商在薄膜沉积领域工艺覆盖类型方面尚不完善,仍有较 大发展空间。

3、过程控制:国产化率 2.4%,中科飞测、精测半导体、睿励科学仪器国 内领先

长江存储:过程控制设备主要采购美、日设备,包括 Onto(由 Nanometrics 和 Rudolph Technologies 合并)、科天、日立高新、应用材料、赛默飞等。国产厂商中, 中科飞测、精测半导体、睿励科学仪器分别中标 7 台、6 台、2 台,其中中科飞测中标设 备主要为光学表面三维形貌量测设备,精测半导体中标设备主要为膜厚光学关键尺寸量 测仪,睿励科学仪器中标设备为介质薄膜测量系统。

图片

华力集成:Nova Measuring、科天中标最多,国产仅睿励科学仪器中标。其中 Nova Measuring 为以色列量测设备公司,共计中标 45 台,中标产品包括化学机械研磨 厚度在线测量设备、光学线宽测量仪设备、硅片厚度测量仪、X 射线光电子能谱分析量测 设备等。睿励科学仪器于 2019 年 11 月中标的 1 台设备为后段膜厚测量仪设备(BEOL)。

华虹无锡:主要采购科天、日立高新,国产厂商包括吉姆西半导体科技、无锡卓海。其中,吉姆西半导体科技 6 台中标设备为膜厚测量仪,无锡卓海 1 台中标设备为套刻精 度检测机。从两家公司官网我们了解到,吉姆西半导体科技主要业务为半导体再制造设 备和研磨液供应系统,再制造设备品牌涵盖应用材料、泛林、日新、东京电子、 Nanometrics、Mattson 等;无锡卓海科技专注半导体前道检测与量测设备领域的研发、 制造、修理、技术服务,再制造设备品牌涵盖科天、日立高新、Ruldoph、Quantox、尼 康等。

图片

总结:过程控制设备方面,中科飞测、精测半导体、睿励科学仪器属于国内布局领 先企业,其中中科飞测主要产品为光学表面三维形貌量测设备等光学检测设备,精测半 导体、睿励科学仪器主要产品均为膜厚量测设备。从三座晶圆厂累计招标情况统计,国 产设备中标总数 16 台,晶圆厂招标设备总数 680 台,由此计算国产化率约 2.4%,国产 厂商设备仅覆盖膜厚量测、光学形貌量测等类型,品类尚不齐全,存在较大市场空间尚 待开拓。

4、氧化扩散/热处理设备:国产化率 29%,北方华创优势较为明显

长江存储:北方华创中标仅次于东京电子,屹唐股份、成都莱普科技亦获得采购。其中北方华创共计中标 94 台,中标产品涵盖氧化、退火、合金等设备。屹唐股份中标 6 台,主要为退火设备;成都莱普科技于 2021 年 9 月中标 2 台,为退火设备。

图片

华力集成:东京电子、应用材料等企业领先,北方华创、屹唐股份、盛美上海亦获 得采购。其中北方华创共计中标 4 台,中标产品涵盖退火、合金、氧化炉设备;屹唐股 份(Mattson)中标 2 台,为快速热退火/快速热氧化设备;盛美上海中标 1 台,为低压高 温氧化炉设备。华虹无锡:东京电子获采购最多,国产厂商包括北方华创、屹唐股份、上海微电子 等。其中,北方华创中标 10 台设备,包括合金退火炉、真空烘烤炉等;屹唐股份中标 3 台,为快速热退火设备;上海微电子中标 2 台,为背面激光退火设备。

总结:氧化扩散/热处理设备方面,北方华创中标设备数量靠前,尤其是在长江存储 中获采购数量较大。北方华创相关设备主要以各类氧化炉、退火炉、合金炉等为主;除 北方华创外,屹唐股份、盛美上海等公司亦有相关炉管产品;上海微电子面向 IGBT 等应 用开发了激光退火设备,与炉管设备有所区别。从三座晶圆厂累计招标情况统计,国产 设备中标总数 124 台,晶圆厂招标设备总数 430 台,由此计算国产化率约 28.8%。

图片

5、清洗:国产化率 31%,盛美上海中标设备数量国产最多,仅次于日本 迪恩士

长江存储:盛美上海中标设备数仅次于日本厂商迪恩士

国产中标厂商还包括芯矽 科技、北方华创、屹唐股份。其中盛美上海共中标 35 台,中标产品主要包括各类型单片 式清洗机。芯矽科技共计中标 5 台,中标产品为零部件清洗机。北方华创共中标 2 台制 程挡控片蚀刻回收清洗机,屹唐股份亦于 2021 年中标 2 台清洗设备。

华力集成:盛美上海中标仅次于日本迪恩士,北方华创、芯源微亦获得采购。其中 盛美上海共计中标 19 台,中标产品涵盖前段、后段工艺的清洗设备。北方华创中标 13 台,均为部件清洗设备;芯源微中标 3 台,为刷片清洗设备。华虹无锡:迪恩士、盛美上海分列前两位,国产厂商还包括上海稷以科技有限公司。其中,盛美上海中标 19 台设备涵盖前后段制程,涉及铜线聚合体剥离、铝线及通孔清洗、 多晶硅氧化膜硅片再生、扩散炉前清洗等环节,产品应用较为多样。上海稷以科技有限公司于 2021 年 9 月首次中标华虹无锡清洗设备 1 台,具体产品为 300mm 薄片等离子背 面清洗机。

图片

总结:清洗设备方面,盛美上海表现较为突出,在选取的三家晶圆厂中设备中标数 量均位列第二,仅次于日本迪恩士。盛美上海清洗设备工艺覆盖面较广,基本涵盖前、 中、后段工艺,除盛美上海以外,国内北方华创、芯源微、屹唐股份、至纯科技等企业 均有所布局。从三座晶圆厂累计招标情况统计,国产设备中标总数 99 台,晶圆厂招标设备总数 318 台,由此计算国产化率约 31.1%。当前国产设备主要在后端制程为主,且部 分用于处理控片、挡片,在正片、前端制程应用相对有限,未来仍存在较大发展空间。

6、去胶:国产化率 74%,屹唐股份、盛美上海国产入围

长江存储:屹唐股份中标数量位列第一。屹唐股份共计中标 74 台,数量超过韩国 PSK,中标产品涵盖前、中、后段干法去胶设备。屹唐股份为中标范围内唯一一家国产 厂商。

华力集成:屹唐股份中标数量位列第一。屹唐股份共计中标 10 台,中标产品为等离 子去胶设备,涵盖前、中、后段去胶工艺。

图片

华虹无锡:迪恩士获采购较多,国产厂商包括屹唐股份、盛美上海。其中,屹唐股 份中标 13 台设备,均为等离子去胶设备;盛美上海中标 4 台,均为前段光刻胶剥离设备。

总结:去胶设备方面,屹唐股份、盛美上海等公司入围,两家设备类型有所区别。其中,屹唐股份主要产品为各类等离子体干法去胶设备,其收购的 Mattson 在去胶领域 具有长期技术积累,国产化率相对较高,盛美上海产品为湿法去胶设备。从三座晶圆厂 累计招标情况统计,国产设备中标总数 101 台,晶圆厂招标设备总数 137 台,由此计算 国产化率约 73.7%。

7、化学机械抛光:国产化率 21%,华海清科为国内细分龙头

长江存储:应用材料、华海清科中标最多。其中华海清科共计中标 34 台,仅次于应 用材料,中标产品主要为层间介质层化学机械抛光机、氧化硅化学机械抛光机、晶圆硅 面化学机械抛光机等。

图片

华力集成:应用材料、荏原制作所领先,国内华海清科中标。华海清科共计中标 4 台,中标产品涵盖硅研磨设备、铜化学机械研磨设备、氧化硅化学机械研磨设备和硅片 背面氧化膜化学机械研磨设备。

华虹无锡:应用材料、华海清科获采购较多。华海清科共计中标 10 台设备,化学机 械抛光工艺涵盖铜、硅片再生、浅沟槽绝缘氧化膜&多晶硅膜、钨等工艺环节,应用领域 较为多样;吉姆西半导体科技中标 5 台,为氧化膜化学机械抛光设备。

总结:化学机械抛光设备方面,华海清科为国内细分龙头,化学机械抛光设备涵盖 铜、硅片再生、浅沟槽绝缘氧化膜&多晶硅膜、钨等多类材料。从三座晶圆厂累计招标情 况统计,国产设备中标总数 48 台,晶圆厂招标设备总数 230 台,由此计算国产化率约 20.9%。与海外厂商相比,在工艺覆盖率方面,国内厂商有进一步提升空间。

8、离子注入:国产化率 1.4%,烁科中科信国产获采购

长江存储:应用材料、亚舍立(Axcelis)中标较多。应用材料为离子注入领域全球 龙头,共计中标 38 台,中标产品涵盖高束流、中束流等类型;亚舍立 Axcelis 中标 8 台, 主要为高能离子注入设备。

图片

华力集成:应用材料、住友重工、亚舍立等企业领先,国产烁科中科信获得采购。应用材料中标设备涵盖高电流、中电流和高能量离子注入设备;住友重工中标设备包括 高电流和中电流离子注入设备;亚舍立中标设备为中电流和高能量离子注入机;烁科中 科信于 2019 年中标 1 台中束流离子注入机。

华虹无锡:住友重工、应用材料获采购最多,国产厂商烁科中科信获得采购。其中, 住友重工、应用材料、亚舍立分别中标 22 台、20 台、4 台离子注入机;烁科中科信于 2020 年中标 1 台,为中电流离子注入设备。

总结:离子注入设备方面,烁科中科信在华虹无锡、华力集成均获得中标,中标设 备均为中束流离子注入设备。从三座晶圆厂累计招标情况统计,国产设备中标总数 2 台, 晶圆厂招标设备总数 139 台,由此计算国产化率约 1.4%,该领域尚存在较大国内外差距, 替代空间广阔。

9、涂胶显影:国产化率 1.1%,芯源微实现国产零突破

长江存储:主要采购自东京电子,部分迪恩士、汉民科技。尚未采购国产厂商设备。

图片

华力集成:东京电子获采购数量领先,芯源微实现零的突破。其中,国产厂商芯源 微中标 1 台,为防反射层匀胶机设备/BARC Coater。

华虹无锡:18 台招标涂胶显影设备全部采购自东京电子,尚未采购国产厂商设备。

总结:涂胶显影设备方面,东京电子获采购较多,国产设备公司中仅芯源微入围。芯源微在华力集成中标设备为匀胶机,国产化尚存在较大发展空间。除上述晶圆厂外, 芯源微还在中芯绍兴、上海积塔、青岛芯恩等晶圆厂获得批量招标采购,产品包括聚合 物涂胶显影机、背面涂胶显影机、KrF 匀胶显影机、I-line 匀胶显影机等,公司在国内涂 胶显影设备领域具有一定稀缺性。从前述三座晶圆厂累计招标情况统计,国产设备中标 总数 1 台,晶圆厂招标设备总数 91 台,由此计算国产化率约 1.1%。(报告来源:未来智库)

10、光刻:国产化率 1.2%,阿斯麦绝对垄断,上海微实现国产零突破

光刻机方面,各晶圆厂均主要采购阿斯麦产品,少量采购日系厂商佳能、尼康。国 产厂商中,上海微电子装备于 2021 年初于长江存储中标一台光刻机。当前在光刻机领域, 后续厂与龙头厂商阿斯麦之间差距仍较为明显。从三座晶圆厂累计招标情况来看,国产 设备中标总数 1 台,晶圆厂招标设备总数 86 台,国产化率约 1.2%,国产化率尚低。

图片

上半年国产半导体设备厂商营收普涨

2022年上半年,电动汽车产业、大数据及人工智能的快速发展,对芯片产出的需求量与日俱增。再加上近些年来,国产替代意识和意愿的加强,给本土半导体业务的发展提供了强大的空间。因此,国内半导体设备供应商上半年营收基本都实现了不同程度的增长,并且由于手中的大量订单,他们对今年剩余时间的出货前景也保持信心。

从整体类别上看,国产设备基本可以覆盖到半导体制造的各阶段所需。尤其在刻蚀、清洗、薄膜等设备方面表现突出。无论是从一、二级市场追捧、上市公司业绩亮眼等角度来看,本土半导体设备供应商已经进入了黄金发展周期。

北方华创和中微公司是刻蚀设备领域的公司,刻蚀设备也是我国最具优势的半导体设备领域,已经逐渐进入成熟期。其中中微公司的介质刻蚀已进入台积电5nm产线,北方华创在ICP(电感耦合等离子体)刻蚀领域较具优势,其14纳米等离子硅刻蚀机已成功进入主流项目产线。

北方华创预计2022年半年度营收50.5亿元-57.7亿元,比上年同期增长40%-60%。中微公司预计 2022年半年度营业收入约 19.7 亿元,同比增长约 47.1% (2021 年上半年营业收入13.4 亿元,同比增长约 36.8%);净利润为 4.1亿元到 4.5亿元,同比增加 565.42%到 630.34%。新增订单约30.6亿元,同比增长约62%。

清洗设备供应商盛美半导体2022年上半年营业收入为10.96亿元,同比增长75.21%,净利润为2.37亿元,较上年同期增长163.83%。半导体清洗设备、前道半导体电镀设备和先进封装湿法设备(包含后道电镀设备)的营业收入均有较大增长。

CMP设备供应商华海清科预计2022年半年度营业收入为 6.8亿元至7.5亿元,同比增长131.60%至 155.44%。实现归属于母公司所有者的净利润为 1.7亿元至1.95亿元,同比增长140.99%至176.43%。

半导体晶体生长设备供应商晶盛机电预计2022年上半年归属于上市公司股东的净利润为10.8亿元–12.5亿元,比上年同期增长79.91%–108.22%。

另外据消息人士称,包括拓荆科技、涂胶显影设备供应商芯源微在内的半导体设备制造商上半年的收入也有显著增长,未来 6-12 个月的订单可见度明显。

这些上市半导体设备企业中多是十几年摸爬滚打过来的老牌企业,如今终于守得云开见月明。此前芯谋研究评价老牌国产半导体设备企业中提到,“务实、稳定的行业领头人和技术团队,正是半导体设备企业迈向成功的关键之一。他们经过数十年的积累,承受住了市场的考验。当产业化机遇来临之时,这些经验老道、实力雄厚的实干企业就乘风而起。”

国内设备业的蓬勃发展不仅体现在上市的设备企业中,非上市设备企业也迎来了良好的进展。

2022年上半年,摩尔精英的MEE-T系列ATE测试设备成功导入3家全球前十大模拟芯片厂商和十余家国产芯片厂商的量产供应链。MEE-T系列ATE测试设备是一款成熟稳定的数模混合测试机,擅长手机AP、MCU、PMIC、IoT等各类芯片产品,巅峰期全球装机量达到3500台。值得一提的是,MEE-T系列测试设备协助某国际模拟巨头厂商,为其主力产品提升了6.7倍的测试产出效率,稳定性提升了413%。

结语

中国是全球最大的半导体设备市场,随着需求不断上升而推动的高代工资本支出、工艺的开发、存储芯片的开发、环保生产驱动的光伏需求、LED、MEMS、功率器件和先进封装的需求不断增长,未来10年,中国将成为全球半导体芯片制造的中心。从历史角度来看,半导体设备公司的兴起与成长随着全球芯片制造中心而迁移。据SIA的数据,在中国大陆晶圆产能的持续快速扩张的态势下,到2030年,大陆的晶圆产能在全球的占比有望达到24%。因此,预计国内设备企业的市场占比将在未来几年内稳步上升。但在这个上升的过程中,离不开半导体客户更大的支持。

来源:

1.《普华资本蒋纯:半导体国产替代的窗口期或许就3-5年,判断趋势很重要|36氪专访》-36氪(韦世玮)

2.《玩“转”赛道|半导体国产替代的机遇》-睿哲固收研究(曹辉 李玲)

3.《半导体设备国产替代的黄金浪潮》-半导体行业观察 (杜芹)

4《半导体设备产业深度报告:从招标数据看半导体设备国产化进展》-中信证券(徐涛、张若海、王子源)

相关推荐:

地方政府进入发展半导体的3.0时代_半导体前景

中国芯片处于什么水平

那些被美国制裁的科技公司都在做什么?

推荐阅读

换一换