打造IC人才
科技生态圈

半导体设备行业深度梳理

发布时间:2022-12-28

来源:IC修真院

半导体设备是支撑电子行业发展的基石,也是半导体产业链上游环节市场空间最广阔,战略价值最重要的一环。从整体来看,中国大陆的半导体设备行业,同全球半导体设备行业一样,享受着本土晶圆厂扩产,地方规划重点扶持的政策福利。从国内市场而言,供应链结构合理化和地缘政治的需求,带来了国内设备市场国产替代的动能。因此,国产设备商享有晶圆厂扩产+国产化提速的双重增速。


图片


根据SEMI2022年7月中旬发布的报告预测,半导体制造设备全球总销售额预计将在2022年再次突破记录达到1175亿美元,比2021的1025亿美元增长14.7%,并预计在2023年增至1208亿美元。全球半导体设备作为一个具有显著的周期性特点的行业,将实现罕见的连续四年的快速增长。本轮的半导体设备周期在全球范围内延续的时长超出预期。


图片


下面我们就从半导体设备产业链出发,从半导体设备发展现状、驱动因素等方向进行分析,探寻其各个细分子行业在产业链中的占比及市场空间、相关公司等,力图把握半导体设备行业未来发展空间与方向。

半导体设备分类、发展现状及驱动因素

1.分类

以产业链应用环节来划分,半导体设备可分为前道工艺设备(晶圆制造)和后道工艺设备(封装测试)两个大类。其中后道工艺设备还可以细分为封装设备和测试设备。设备中的前道设备占据了整个市场的80%-85%,其中光刻机,刻蚀机和薄膜设备是价值量最大的三大环节,各自所占的市场规模均达到了前道设备总量的20%以上。因此,全球半导体设备前十名厂商之中,有多家是平台型企业,横跨多个半导体工艺环节。


图片


2.发展现状

半导体产业链庞大复杂的特性,使得很难有某一家公司能够在所有设备领域做到全覆盖。来自全球各个国家的企业共享整个市场。

从2021年的全球竞争格局来看,第一梯队top5的收入规模均在百亿规模左右或以上,排名前top10的公司营收体量也要在20亿美元以上。对比国内设备龙头北方华创2021年电子装备业务(包含集成电路业务和泛半导体业务)约为79.5亿元人民币的营收,我国半导体装备行业的营收规模距行业头部厂商仍存在较大差距,替代空间巨大。


图片


按照2021财年半导体业务收入排名,全球前五大半导体设备厂商分别为应用材料242亿美元营收,ASML约211亿美元营收,东京电子171亿美元营收,泛林半导体165亿美元应收,柯磊82亿美元营收。分地区来看,排名前十的厂商中有五家日本公司,四家美国公司,以及一家荷兰公司。

2021年全球营收排名前五的设备厂商均属于前道设备的应用厂商,与前道设备占据80%以上的设备市场相匹配。同时,前五大厂商中有三家是平台型(应用材料,泛林半导体,东京电子),横跨刻蚀,薄膜,清洗,离子注入等多个领域,对比来看,国内许多公司也在横向拓展业务领域以不断突破天花板,向平台型转型。比如,中微公司从刻蚀及化合物半导体外延设备延展到集成电路薄膜设备;万业企业从离子注入设备延展到其嘉芯半导体子公司,覆盖除光刻机之外的几乎全部前道大类;盛美上海从清洗,电镀等业务逐步覆盖,炉管,沉积及其他前道品类。

3.驱动因素

先进制程发展、工艺流程改进,半导体设备迎来新需求。

(1)新能源,AIot推进成熟制程设备发展加速

半导体设备行业波动性成长,产业链最下游电子应用终端发生新变化,产生新需求。半导体设备行业呈现波动性上涨的趋势。近二十年间半导体设备的周期性正在减弱,行业成长趋势加强。得益于各类电子终端的芯片需求,智能化,网联化,AIOT的发展,行业规模连续四年出现大幅度的正增长。2022年仍将维持较高增速,这在半导体设备发展历史上极为罕见。

先进制程(5nm以下先进制程)的扩产和研发投入变得十分巨大,同时成熟制程的芯片需求量大大提升。根据ASML的财报显示,Arf光刻机单价在6000万欧元左右,EUV光刻机单价在1.5亿欧元左右,而最新一代预告的3nm/2nm世代光刻机预计的单价将在3亿欧元以上,先进制成的研发和突破成本以指数曲线的形式上升。在先进制程未来2nm,1nm的发展方向愈发接近物理极限的同时,成熟制程经济效益在不断提高,车规MCU,超级结MOS,光伏IGBT等成熟制程芯片大量缺货,交付期延长,使得行业重新审视成熟制程产线的经济效益,台积电也在2022年提出在未来三年将成熟制程扩产50%。我国半导体设备厂商精准卡位12英寸成熟制程所对应设备,覆盖28nm/14nm以上节点成熟制程领域并不断完善。

(2)从衬底到芯片:工艺流程决定设备使用需求量变化

芯片产线的精细化,自动化程度高,芯片/设备对于环境的要求高。

半导体设备处于产业链最上游环节,中游的芯片代工晶圆厂采购芯片加工设备,将制备好的晶圆衬底进行多个步骤数百道上千道工艺的加工,配合相关设备,通过氧化沉积,光刻,刻蚀,沉积,离子注入,退火,电镀,研磨等步骤完成前道加工,再交由封测厂进行封装测试,出产芯片成品。

芯片的制造在极其微观的层面,90nm的晶体管大小与流行感冒病毒大小类似。在制程以纳米级别来计量的芯片领域,生产加工流程在自动化高精密的产线上进行,对设备技术的要求极高。无论是设备的制造产线,还是晶圆厂的生产产线,所有芯片的生产加工均在无尘室中完成。任何外部的灰尘都会损坏晶圆,影响良率,因此对于环境和温度的控制也有一定的要求。在代工厂中,晶圆衬底在自动化产线上在各个设备间传送生产,历经全部工艺流程大致所需2-3个月的时间,这其中不包括后道封装所需要的时间。通常来说,晶圆厂中的设备90%的时间都在运行,剩余时间用于调整和维护。


图片


前道工艺步骤繁杂,工序繁多,是芯片出产过程中技术难度较大,资金投入最多的环节。在芯片代工厂中的芯片的工艺制备流程如下:氧化、匀胶、曝光、显影、刻蚀、沉积、研磨、离子注入、退火。离子注入完成之后,继续沉积二氧化硅层,然后重复涂胶,光刻,显影,刻蚀等步骤进入另一个循环,用以挖出连接金属层(导电层)的通孔,从而使互通互联得以是现在晶圆中。实现这一功能的是使用物理气相沉积的方式沉积金属层。上述步骤在晶圆的生产制造中将重复数次,直到一个完成的集成电路被制作完成。最后,将制备好的晶圆进行减薄,切片,封装,检测。完成后到的工艺流程,至此,一颗完整的芯片制作完成。


图片



图片



图片


半导体设备产业链梳理及相关公司

半导体设备主要由七大设备零部件构成:光刻设备、刻蚀设备、清洗设备、薄膜沉积设备、离子注入设备、机械抛光设备及封装、测试设备。下面我们分别进行分析。


图片


1.光刻机:摩尔定律的续命药

(1)图形刻画,光刻机必不可少

光刻是将设计好的电路图从掩膜版转印到晶圆表面的光刻胶上,通过曝光、显影将目标图形印刻到特定材料上的技术,可以简单理解为画图过程,是晶圆制造中最重要的技术。光刻工艺包括三个核心流程:涂胶、对准和曝光以及光刻胶显影,整个过程涉及光刻机,涂胶显影机、量测设备以及清洗设备等多种核心设备,其中价值量最大且技术壁垒最高的部分就是光刻机。

(2)光刻机不断迭代,满足制程提升需求

光刻机经过多年发展,已经演化出五代产品,由光源波长进行区分可以分为可见光(g-line),紫外光(i-line),深紫外光(KrF、ArF)以及极紫外(EUV)几大类,从工作类型又可以分为接触式、扫描式、步进式、浸没式等方式。不同类型的光刻机主要是为了满足日益提升的制程需求,当前最先进的3nm制程只能通过EUV光刻机才能实现。


图片

(3)复杂程度高,多厂商合作才能组成光刻机

全世界没有任何一家公司可以独立制造光刻机,其生产技术要求极高,可以分为十一个主要部件,包含超过十万个零件,涉及上下游多家供应商,具有极强的生态属性。光刻机的主要部件有工件台、激光源、光束矫正器、能量控制器、光束形状设置、遮光器、能量探测器、掩模台、物镜、封闭框架与减震器。


图片



图片


(4)三大海外厂商占据主导,EUV仅ASML一家独供

目前全球光刻机市场几乎由ASML、尼康和佳能三家厂商垄断,其中又以ASML一家独大。由于光刻机需要超十万个零部件,在各大晶圆厂不断扩产的背景下,光刻机的交货时间一再推迟,EUV光刻机的交期已经推迟到24个月以后。从销量来看,2021年ASML占比65%,出货量达到309台,力压尼康和佳能,其中EUV/ArFi/ArF高端光刻机占比分别为100%/95.3%/88%。从销额来看,EUV光刻机单价超过1亿欧元,最新一代0.55NA大数值孔径EUV光刻机单价甚至超过4亿欧元,全球仅有ASML可提供,使其占据市场绝对龙头地位,2021年市场份额达到85.8%。


图片


(5)上海微电子重点突破,国产光刻机有望打破封锁

目前国内具备光刻机生产能力的企业主要是上海微电子装备有限公司,主要致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。公司设备广泛应用于集成电路前道、先进封装、FPD面板、MEMS、LED、Power Devices等制造领域。公司的光刻机产品有SSX600和SSB500两个系列,其中SSX600系列主要应用于IC前道光刻工艺,可满足IC前道制造90nm、110nm、280nm关键层和非关键层的光刻工艺需求;SSB500系列光刻机主要应用于IC后道先进封装工艺。

2.刻蚀机:微观世界雕刻师

(1)半导体制造核心工艺,刻蚀雕刻芯片大厦

作为半导体制造过程中三大核心工艺之一,刻蚀可以简单理解为用化学或物理化学方法有选择地在硅片表面去除不需要的材料的过程,可以分为干法刻蚀和湿法刻蚀,目前市场主流的刻蚀方法均为干法刻蚀,可将其分为CCP刻蚀和ICP刻蚀。CCP刻蚀主要是以高能离子在较硬的介质材料上,刻蚀高深宽比的深孔、沟槽等微观结构;而ICP刻蚀主要是以较低的离子能量和极均匀的离子浓度刻蚀较软的或较薄的材料。


图片


(2)新技术路线步入量产,对刻蚀提出更高技术要求

三星宣布将成为全球首家采用GAA工艺进行3nm制程的生产,相较于Fin FET工艺,GAA被誉为突破3nm制程的有力手段。每一代芯片新技术的突破,晶体管体积都会不断缩小,同时性能不断提升。从平面MOSFET结构到Fin FET晶体管架构,再到后面的GAA结构甚至MBCFET结构,晶体管的复杂度不断提升,对刻蚀和薄膜沉积等核心技术提出了更高的要求

(3)芯片线宽的缩小及多重模板工艺对刻蚀的精度和重复性提出更高要求

随着芯片制程的提升,受到光刻机波长的限制,往往需要采用多次曝光,才能得到要求的线宽,实现更小的尺寸。这对刻蚀速率、各向异性、刻蚀偏差、选择比、深宽比、均匀性、残留物、等离子体引起的敏感器件损伤、颗粒沾污等指标上对刻蚀设备都提出了更高的要求。我国因无法购买EUV光刻机而无法进行更先进制程的产线建设,如果想要用28nm产线生产14nm线宽的芯片,只能通过多次刻蚀才有可能实现,这使得对刻蚀的需求进一步提升。

(4)海外厂商占据8成份额,国内厂商正迎难而上

从全球范围来看,刻蚀设备主要由美国泛林半导体、日本东京电子以及美国应用材料三家占据领先地位,2020年三家市场份额合计占比近9成。目前国内有中微公司和北方华创两家刻蚀设备供应商,从营收端来看,2020年和2021年中微公司和北方华创刻蚀设备营收占国内总刻蚀市场规模的9.19%和10.48%左右,随着公司的订单逐步释放,国产化率有望明显提升。

(5)中微公司是国内领先刻蚀设备厂商,持续创新,不断推出新产品

中微公司半导体刻蚀设备主要包含CCP刻蚀设备、ICP刻蚀设备以及深硅刻蚀设备,在逻辑、存储等诸多领域具有广泛应用。在逻辑芯片制造环节,公司开发的12英寸高端刻蚀设备已运用在国内外知名客户65nm到5nm制程的芯片生产线上;同时,公司根据客户需求,已开发出5nm及更先进刻蚀设备用于若干关键步骤的加工,并已获得行业领先客户的批量订单。公司目前正在开发新一代刻蚀设备和包括大马士革在内的刻蚀工艺,能够涵盖5nm以下更多刻蚀需求。在3DNAND芯片制造环节,公司的CCP刻蚀设备可应用于64层、128层及更高层数NAND的量产,并且正在开发新一代能够涵盖200层以上极高深宽比的刻蚀设备和工艺。此外,公司的ICP刻蚀设备已经在多个逻辑芯片和存储芯片厂商的生产线上量产,正在进行下一代产品的技术研发,以满足5nm以下的逻辑芯片、1X纳米的DRAM芯片和200层以上的3DNAND芯片等产品的刻蚀需求。


图片



图片


3.薄膜沉积设备:集成电路奠基者

(1)薄膜沉积支撑集成电路,多种类型满足不同需求

薄膜沉积技术是以各类化学反应源在外加能量(包括热、光、等离子体等)的驱动下激活,将由此形成的原子、离子、活性反应基团等在衬底表面进行吸附,并在适当的位置发生化学反应或聚结,渐渐形成几纳米至几微米不等厚度的金属、介质、或半导体材料薄膜。作为芯片衬底之上的微米或纳米级薄膜,是构成了制作电路的功能材料层。随着集成电路制造不断向更先进工艺发展,单位面积集成的电路规模不断扩大,芯片内部立体结构日趋复杂,所需要的薄膜层数越来越多,对绝缘介质薄膜、导电金属薄膜的材料种类和性能参数不断提出新的要求。薄膜设备的发展支撑了集成电路制造工艺向更小制程发展。

(2)薄膜沉积设备市场增速稳,规模大

随着半导体行业整体景气度的提升,全球半导体设备市场呈现快速增长态势,拉动市场对薄膜沉积设备需求的增加。根据Maximize Market Research数据统计,2017-2020年全球半导体薄膜沉积设备市场规模分别为125亿美元、145亿美元、155亿美元和172亿美元,2021年扩大至约190亿美元,年复合增长率为11.04%。预计全球半导体薄膜沉积设备市场规模在2025年将从2021年的190亿美元扩大至340亿美元,保持年复合15.7%的增长速度。


图片


(3)下游应用多样化促进各种薄膜沉积设备需求

近年来,下游产业新技术、新产品快速发展,正迎来市场快速增长期。5G手机、新能源汽车、工业电子等包含的半导体产品数量较传统产品大比例提高;人工智能、可穿戴设备和物联网等新业态的出现,对于半导体产品产生了新需求。经过不断发展,根据不同的应用演化出了PECVD、LPCVD、溅射PVD、ALD等不同的设备用于晶圆制造的不同工艺。其中,PECVD是薄膜设备中占比最高的设备类型,占整体薄膜沉积设备市场的33%;ALD设备目前占据薄膜沉积设备市场的11%;SACVD是新兴的设备类型,属于其他薄膜沉积设备类目下的产品,占比较小。


图片


(4)芯片工艺进步及结构复杂化提高薄膜设备需求

在晶圆制造过程中,薄膜起到产生导电层或绝缘层、阻挡污染物和杂质渗透、提高吸光率、临时阻挡刻蚀等重要作用。随着集成电路的持续发展,晶圆制造工艺不断走向精密化,芯片结构的复杂度也不断提高,需要在更微小的线宽上制造。制造商要求制备的薄膜品种随之增加,最终用户对薄膜性能的要求也日益提高。这一趋势对薄膜沉积设备产生了更高的技术要求,市场对于高性能薄膜设备的依赖逐渐增加。

(5)产线升级,薄膜设备需求陡增

随着集成电路的持续发展,产线逐渐升级,晶圆厂对薄膜沉积设备数量和性能的需求将继续随之提升。越先进制程的产线所需的薄膜沉积设备数量越多。先进制程使得晶圆制造的复杂度和工序量都大大提升,为保证产能,产线上需要更多的设备。

(6)精密结构要求性能更好的薄膜设备

随着当前存储器性能瓶颈的出现,主流工艺方式不断拓展,精密结构加工所需的设备性能要求不断增加。在FLASH存储芯片领域,随着主流制造工艺由2DNAND发展为3DNAND结构,相关产线中薄膜设备支出占比由18%提升至26%,结构的复杂化导致对于薄膜沉积设备的需求量也逐步增加。


图片


(7)进入壁垒高,行业高度垄断

半导体设备属于高新技术领域,相关厂商均在各自专业技术领域耕耘几十年。从全球市场份额来看,薄膜沉积设备行业呈现出高度垄断的竞争局面,行业基本由应用材料(AMAT)、先晶半导体(ASMI)、泛林半导体(Lam)、东京电子(TEL)等国际巨头垄断。2019年,ALD设备龙头东京电子和先晶半导体分别占据了31%和29%的市场份额,剩下40%的份额由其他厂商占据;而应用材料则基本垄断了PVD市场,占85%的比重,处于绝对龙头地位;在CVD市场中,应用材料全球占比约为30%,连同泛林半导体的21%和TEL的19%,三大厂商占据了全球70%的市场份额。


图片


(8)CVD领域差异化应用,共同发力弥补行业短板

CVD设备需求量大,设备种类较多。国内从事CVD设备开发销售的公司主要有北方华创、中微公司和拓荆科技。北方华创主要研发PVD、LPCVD和APCVD设备,中微公司主要研发MOCVD设备,和拓荆科技的PECVD以及SACVD设备无直接竞争关系。各公司专注于不同细分领域,共同发展弥补国内企业在相关行业的短板。

4.其他前道设备:占比不高但缺一不可

除了光刻、薄膜沉积以及刻蚀三大核心工艺外,其他前道设备虽然占比不高,但同样不可或缺。从芯片制造工艺来看,包括涂胶显影设备、清洗设备、离子注入设备以及扩散设备。其中涂胶显影设备与光刻机共同完成光刻工艺;清洗机与CMP共同完成芯片的各步骤的清洗与抛光;离子注入机和扩散炉则专注于掺杂工艺。


图片


(1)相关公司:


图片


(2)涂胶显影设备

涂胶显影设备是光刻工艺中除光刻机外的另一核心设备。涂胶显影设备是光刻工序中与光刻机配套使用的涂胶、烘烤及显影设备,包括涂胶机、喷胶机和显影机,在8英寸及以上晶圆的大型生产线上,此类设备一般都与光刻设备联机作业,组成配套的圆片处理与光刻生产线,与光刻机配合完成精细的光刻工艺流程。作为光刻机的输入(曝光前光刻胶涂覆)和输出(曝光后图形的显影),涂胶显影机的性能不仅直接影响到细微曝光图案的形成,其显影工艺的图形质量和缺陷控制对后续诸多工艺(诸如蚀刻、离子注入等)中图形转移的结果也有着深刻的影响。

日本厂商占据前道涂胶显影机领先地位,国内芯源微重点突破。在光刻工序涂胶显影设备领域,主要企业有日本东京电子(TEL)、日本迪恩士(DNS)、德国苏斯微(SUSS)、台湾亿力鑫(ELS)、韩国CND等,国内前道涂胶显影目前只有芯源微能提供相关产品。相对而言,芯源微技术水平整体弱于东京电子和迪恩士,产品的应用领域也不如竞争对手完整。尽管目前国产化率不高,但随着国内自主产线的通线,有望进入设备快速验证期,届时有望快速提升产品竞争力,扩大市场份额。

(3)清洗设备

清洗是贯穿晶圆制造的重要工艺环节。清洗的主要目的是去除晶圆制造中各工艺步骤中可能存在的杂质,避免杂质影响芯片良率和芯片产品性能。目前,随着芯片制造工艺先进程度的持续提升,对晶圆表面污染物的控制要求不断提高,每一步光刻、刻蚀、沉积等重复性工序后,都需要一步清洗工序。清洗不仅应用于晶圆制造,在硅片制造和封装测试过程中也必不可少。

在全球清洗设备市场,日本DNS公司占据40%以上的市场份额,此外,TEL、LAM等也在行业占据了较高的市场份额,市场集中度较高。国内的清洗设备领域主要有盛美半导体、北方华创、芯源微、至纯科技。其中,盛美半导体主要产品为集成电路领域的单片清洗设备和单片槽式组合清洗设备;北方华创收购美国半导体设备生产商Akrion Systems LLC之后主要产品为单片及槽式清洗设备;芯源微产品主要应用于集成电路制造领域的单片式刷洗领域;至纯科技具备生产8-12英寸高阶单晶圆湿法清洗设备和槽式湿法清洗设备的相关技术。

(4)CMP设备

工艺限制催生CMP技术,CMP设备应运而生。在芯片制造制程和工艺演进到一定程度、摩尔定律因没有合适的抛光工艺无法继续推进。传统的机械抛光和化学抛光去除速率均低至无法满足先进芯片量产需求,因此结合了机械抛光和化学抛光各自长处的CMP技术应运而生,是目前唯一能兼顾表面全局和局部平坦化的抛光技术,在目前先进集成电路制造中被广泛应用。对应的CMP设备也成为了半导体芯片制造过程中不可或缺的核心设备。CMP设备主要依托CMP技术的化学-机械动态耦合作用原理,通过化学腐蚀与机械研磨的协同配合作用,实现晶圆表面多余材料的高效去除与全局纳米级平坦化;其涉及集成电路、机械、材料、物理、力学、化学、化工、电子、计算机、仪器、光学、控制、软件工程等多学科的交叉,研发制造难度大。


图片


下游应用多样化促进CMP设备需求。集成电路按制造工艺及应用领域主要分为逻辑芯片、3DNAND闪存芯片、DRAM内存芯片,上述三种芯片虽然在结构及制造工艺上有明显的区别,但无论哪种芯片的制造,都要求每层制造表面必须保持纳米级全局平坦化,以使下一层微电路结构的加工制造成为可能,因此在集成电路制造流程中CMP设备必不可缺且需要循环使用,通常每片芯片制造完成需经过几十道抛光工艺,尤其是集成电路制造工艺在纳米节点上的持续推进,将使CMP设备的平坦化应用机会及关键作用愈加凸显。

平坦化工艺助力芯片制造。CMP设备系依托CMP技术的化学-机械动态耦合作用原理,通过化学腐蚀与机械研磨的协同配合作用,实现晶圆表面多余材料的高效去除与全局纳米级平坦化,在硅片制造、集成电路制造、封装测试等领域具有重要应用。CMP设备在制造芯片过程中起到重要的作用,保证芯片每层之间足够平坦,确保了芯片的整体性能和可靠性。在硅片制造领域,CMP设备及工艺实现平整洁净的抛光片;在集成电路制造领域,芯片制造过程按照技术分工主要可分为薄膜淀积、CMP、光刻、刻蚀、离子注入等工艺环节,各工艺环节实施过程中均需要依靠特定类型的半导体专用设备;在先进封装领域,CMP工艺会越来越多被引入并大量使用,其中硅通孔技术、扇出技术、2.5D转接板、3DIC等将用到大量CMP工艺,这将成为CMP设备除IC制造领域外一个大的需求增长点。


图片


芯片复杂化,CMP步骤次数提升。随着芯片制造技术发展,CMP工艺在集成电路生产流程中的应用次数逐步增加,以逻辑芯片为例,65nm制程芯片需经历约14道CMP步骤,而7nm制程所需的CMP处理增加为30道;晶体管结构从平面型向3DFinFET转变,新增10次CMP过程;存储器由2D向3D转换,新增5次CMP步骤。


图片


进入壁垒高,技术路径延续性强。半导体设备属于高新技术领域,相关厂商均在各自专业技术领域耕耘几十年。全球CMP设备市场处于高度垄断状态,主要由美国应用材料和日本荏原两家设备制造商占据,两家制造商合计拥有全球CMP设备超过90%的市场份额,尤其在14nm以下最先进制程工艺的大生产线上所应用的CMP设备仅由两家国际巨头提供。根据SEMI统计,2019年美国应用材料和日本荏原机械市占率合计达95%,而其他厂商总份额仅5%。

华海清科是目前国内唯一实现12英寸系列CMP设备量产销售的半导体设备供应商,打破了国际厂商的垄断,填补国内空白并实现进口替代。据其营收统计,2021年国内市场占有率已经达到25.8%,有望实现CMP设备的完全国产替代。

(5)离子注入机

精确可控性使得离子注入技术成为最重要的掺杂方法。随着芯片特征尺寸的不断减小和集成度增加,各种器件也在不断缩小,由于晶体管性能受掺杂剖面的影响越来越大,离子注入作为唯一能够精确控制掺杂的手段,且能够重复控制掺杂的浓度和深度,使得现代晶圆片制造中几乎所有掺杂工艺都从热扩散转而使用离子注入来实现。

根据离子束电流和束流能量范围可将离子注入机分为三大类。三类离子注入机分别是中低束流离子注入机、低能大束流离子注入机、高能离子注入机。另外还有用于注入氧的氧注入机,或者注入氢的氢离子注入机。离子注入机包含5个子系统:气体系统、电机系统、真空系统、控制系统和射线系统。其中,射线系统为最重要的子系统。


图片


离子注入机约占半导体前道设备的2~3%,大束流离子注入机占比过半。从半导体前道设备规模来看,离子注入机约占2~3%,对应2021年全球市场规模约22亿美元,国内市场规模6亿美元。在三类主要离子注入机中,大束流离子注入机占比约60%,中束流离子注入机占比约20%,高能离子注入机占比约18%,可分别推算出2021年国内市场中三类离子注入机市场规模为3.6/1.2/1.08亿美元。

集成电路离子注入机的市场份额高度集中,国内凯世通完成0到1的突破。美国应用材料公司、Axcelis占据全球大部分市场份额,其中美国应用材料公司在离子注入机产品上的市占率达到70%,主要产品包括大束流离子注入机、中束流离子注入机、超高剂量的离子注入。美国Axcelis主要产品高能离子注入机市占率55%。除此以外,日本Nissin主要生产中束流离子注入机,在中束流离子注入机的市占率约为10%;日本SEN公司的产品包括高束流离子注入机、中束流离子注入机、高能量离子注入机,但在中国大陆地区的市占率相对较低。在国内市场,万业企业旗下凯世通率先完成了国产离子注入机从0到1的突破,2022年上半年取得在手订单超过11亿元,并逐步向客户批量交付低能离子注入机,迈入1到N的放量阶段。

5.测试设备:晶圆质量把关人

(1)测试设备分类

晶圆与芯片两大检测领域,三大设备协同作用。集成电路生产需要检测工艺是否合格、版图设计是否合理、产品是否可靠,而这些都需要用到专门的测试设备,以此提高芯片制造水平,保证芯片质量。测试设备主要有测试机、分选机和探针台三大类设备,其中测试机用于检测芯片功能和性能,对芯片施加输入信号,采集输出信号来判断芯片在不同工作条件下功能和性能的有效性;而分选机和探针台则是将芯片的引脚与测试机的功能模块起来,进而实现批量自动化测试。在晶圆检测中,探针台将晶圆传送至测试位置,芯片的Pad点通过探针、专用连接线与测试机连接,测试机通过I/O信号,判断芯片性能是够是否达到规范设计要求。在芯片检测中,分选机将被测芯片逐个自动传送至测试工位,测试机对芯片进行性能检测,最后分选机将被测芯片进行标记、分选、收料。


图片


(2)测试设备市场规模

预计2022年全球半导体测试设备市场规模达到82亿美元。根据华经产业研究院,2021年全球半导体测试设备市场规模为78亿美元,同比增长30%,预计2022年测试设备增长5%,达到82亿美元。对于细分的半导体测试设备,2021年全球测试机、分选机和探针机占半导体测试设备的比例分别为63.1%、17.4%和15.2%,市场规模约为49.2、13.6、11.9亿美元。据此可以简单估算,2022年测试机、分选机和探针机的全球市场规模分别约为51.7、14.3和12.5亿美元。

(3)数字测试机

数字测试机相比于模拟测试机难度较高,SoC占据主要市场份额。根据测试对象的不同,测试机可以分为SoC、存储、模拟和RF等,其中数字测试机主要包括SoC和存储测试机。相比于模拟测试机,数字测试机的技术难度更高。从市场份额来看,SoC测试机占据60%份额,与存储测试机共同占据全球80%市场份额。

(4)测试机相关公司

测试机领域国产份额较低,本土厂商逐步追赶。全球测试机行业被泰瑞达和爱德万占据大部分市场份额,据华经情报网援引SEMI数据,2021年全球半导体测试机市场中泰瑞达、爱德万和科休的市场份额占比分别为51%、33%、11%,合计市占率为95%,份额高度集中。在国内市场,竞争格局相对分散,国内厂商华峰测控和长川科技的市占率分别为8%和5%,正逐步追赶当中,长川科技数字测试机等产品已经实现有效突破。

(5)分选机市场空间

分选机市场国产替代空间较大,探针台由日本企业垄断。不同于测试机,全球分选机的竞争格局相对分散,2020年前五大分选机厂商分别为科休、Xcerra、爱德万、台湾鸿劲、长川科技,市占率分别为21%、16%、12%、8%、2%。其中大陆企业只有长川科技并且市占率仅为2%,未来国产替代的空间广阔。而探针台市场几乎由日本东京电子和东京精密两家占据,2020年两家企业在全球范围市占率分别为46%和42%,具有极高的进入壁垒。

半导体设备零部件及相关公司

1.市场空间

半导体设备市场2022年增长15%。根据SEMI统计,全球半导体设备销售规模从2010年395亿美元增长到2021年的1026亿美元,其中中国大陆市场296亿美元。SEMI预计到2022年将进一步增长15%至1175亿美元。


图片


零部件持续紧缺,设备以及零部件的交期均延长。半导体零部件的短缺限制了设备公司大规模扩产,产品交付期延长。从2021年下半年开始,国际龙头AMAT、Lam Research、ASML等均在法说会上表示半导体零部件短缺是公司上游供应的关键问题,对向客户及时交货构成了挑战,我们认为此次短缺同时也为零部件国产化加速提供了机遇。据ET News二季度报道,半导体核心部件的交货期为6个月以上,之前的交货期通常仅为2-3个月,来自美国、日本和德国的零部件交货时间显著增加,主要短缺的产品有高级传感器、精密温度计、MCU和电力线通信(PLC)设备。由于半导体零部件的持续性短缺,部分相关零部件厂商京瓷、Edwards等均有扩产计划,将有助于缓解半导体零部件短缺问题。ASML预测2023年半导体零部件的短缺将有所缓解。

2021年大陆半导体前道设备厂商北方华创、中微公司、拓荆科技、华海清科、芯源微、盛美上海、中科飞测毛利率均值为42%,同时以上大陆半导体设备厂商直接材料费用占营业成本比例平均值为90%。结合起来测算,半导体零部件占半导体设备市场规模的比例估计在50%,而2021年前道晶圆制造设备规模约为875亿美元,因此对应半导体零部件市场规模预计430亿美元以上,中国大陆市场约为850亿元人民币。

此外,设备零部件除了直接对设备厂的供应外,在晶圆厂方面,据芯谋研究口径,2020年中国大陆晶圆线8英寸和12英寸前道设备零部件采购金额超过10亿美元。因为晶圆厂设备零部件和材料一样也具有耗材属性,按照2020年中国大陆半导体材料占全球18%来估算,预计全球晶圆厂对前道设备零部件采购金额约为56亿美元。2021年增长16%,预计全球市场约为65亿美元,中国大陆市场约为85亿元人民币。

结合设备厂及晶圆厂采购金额,我们保守测算全球半导体零部件市场规模预计接近500亿美元,中国大陆市场超过900亿元人民币。

(1)设备厂采购

根据国产设备厂商披露的采购零部件类型比例,例如拓荆科技主要产品为干法设备,其机械类+机电一体类零部件占比分别达到41%,电气类占比也较高达到27%;而华海清科的主业CMP设备为湿法设备没有真空反应腔,没有气体反应的设备,零部件成本中机械零部件的占比往往较高,华海清科2021年采购额中,机械标准件+加工件占比高达67%。

(2)晶圆厂采购

晶圆厂采购结构方面,从芯谋研究统计数据来看,大陆晶圆厂采购零部件中金额占比较大的主要有石英件(Quartz)、射频电源(RF Generator)、各种泵(Pump)等,占比在10%及以上,此外还有各种阀门(Valve)、吸盘(Chuck)、反应腔喷淋头(Shower Head)、边缘环(Edge Ring)等零部件。

2.零部件国产化持续提升

(1)零部件行业市场集中度低,美日欧公司为主

因为半导体设备本身结构复杂,导致精密零部件制造工序繁琐,品类管理难度大,不同零部件之间存在着一定的差异性和技术壁垒,因此行业内多数企业只专注于个别生产工艺,或专注于特定精密零部件产品,整体行业相对分散。根据VLSI的数据,2020年全球半导体零部件领军供应商前十中,包括蔡司ZEISS(光学镜头),MKS仪器(MFC、射频电源、真空产品),爱德华Edwards(真空泵),Advanced Energy(射频电源),Horiba(MFC),VAT(真空阀件),Ichor(模块化气体输送系统以及其他组件),Ultra Clean Tech(密封系统)等。龙头厂商收入体量大多在几亿美元到十几亿美元的体量,2020年全球前十公司营收规模约为80亿美元,CR10低于20%。

对比海外龙头,国产设备零部件中电子/机械类产品的精度较低、材料加工工艺要求不达标。零部件中比较复杂的电子和机械产品,开发技术难度较大,精度要求高。例如RF generator直接关系到腔体中的等离子体浓度和均匀度,是Etch、PECVD等重要机台最关键的零部件之一,而国产RF generator主要的技术问题在于电源电压和频率等参数尚不够稳定,较Advanced Energy等国外企业有一定差距。此外,中国厂商强于机加工和成型,但往往无法解决材料和表面处理问题,因此发展受到基础的制约。

根据芯谋研究,国内晶圆制造厂商采购的设备零部件中国产化率超过10%的有Quartz成品、Showerhead、Edgering等少数几类,其余的国产化程度都比较低,特别是Valve、Gauge、O-ring等几乎完全依赖进口。目前我国半导体零部件产业尚处于起步期,核心零部件仍然依赖进口。根据芯谋研究,目前石英、喷淋头、边缘环等零部件国产化率达到10%以上,射频发生器、MFC、机械臂等零部件的国产化率在1%-5%,而阀门、静电吸盘、测量仪表等零部件的国产化率不足1%。

(2)国内设备厂商的零部件国产化率进入加速提升阶段

随着下游晶圆制造厂及设备厂商迎来高速发展期,且在外部环境不确定背景下各环节自主可控进程加速,零部件环节已在2021年开启替代元年,我们判断未来三年正是替代高峰期。在一些细分品类实现技术和客户突破的优质厂商,订单和业绩有望加速释放。

国产设备厂商在快速推进供应链国产化。

根据中微公司2022年报:公司主要刻蚀设备的国产化率快速提升,CCP刻蚀机零部件国产化比例达到61.5%,ICP达到59%,美国供应商占比约为9%和13%左右。

根据华海清科招股书:公司进口原材料占原材料采购总额的比例约为50%左右,主要为标准化、非垄断型的通用零部件,大部分为非半导体专用,产地分别为日本、德国和美国等,其中采购产地为美国的零部件占比约10%。

根据屹唐股份招股书:公司预计将于2021年下半年完成干法去胶设备主要机型的关键本土备选零部件内部认证,2022年分阶段实现国产零部件量产导入。同样干法刻蚀设备备选供应商原材料覆盖程度预计可达到较高比例。快速热处理设备主要机型的相关原材料供应主要来源于德国,供应链本土化工作于2021年下半年正式启动,预计于2023年之前完成。

针对不同类型的零部件,技术难点各不相同,国产化率差异大。机械类零部件应用最广,市场份额最大,目前主要产品技术已经实现突破和国产替代,先进制程相关难突破。机电一体类和气液传输/真空系统零部件同样品类繁多,国内部分产品已实现技术突破,但产品稳定性和一致性与国外有差距。技术难度相对比较高的为电气类、仪器仪表类、光学类零部件,国内企业的电气类核心模块(射频电源等)少量应用于国内半导体设备厂商,主要应用于光伏、LED等泛半导体设备,国产化率低,高端产品尚未国产化;仪器仪表类对测量精度要求高,国内企业通过收购进入国际半导体设备厂商,自研产品少量用于国内设备厂商,国产化率低,高端产品尚未国产化;光学类零部件对光学性能要求极高,由于光刻设备国际市场高度垄断,高端产品一家独大,国内光刻设备尚在发展,相应配套光学零部件国产化率低。

3.相关国产设备零部件厂商


图片


发展空间

中国市场在半导体设备行业中的重要性逐步提升。全球半导体设备市场规模2005年到2007年的17年间市场规模复合增速6.9%,对比来看,中国地区17年来复合增速为20%,中国半导体设备行业过去数年一直维持着较高的成长性。周期性弱于全球。同时,中国市场的占比从2005年的4%提升到2021年的28.8%,17年间高速发展。近几年,中国半导体设备市场规模扩大继续提速,近五年行业规模复合增速高达35%。随着下游晶圆厂订单和验证效率的提升,预计2022-2025将是半导体国产设备的放量期,高增速有望延续。


图片


内资晶圆厂扩产空间充足。中国市场占比的提升,除了内资晶圆厂的不断扩产,还包括了外资和中国台湾厂商的产能,8英寸的万国半导体,海辰半导体,12英寸的SK海力士,台积电南京,Intel,三星西安等等。内资+外资共通构筑国内市场,而内资晶圆厂的扩产诉求和国产替代诉求更加强烈。因此,对于本土产业链的国产替代层面来说,设备厂商面对的内资产能存在更大增量空间。

相关推荐:

离开华为创业搞机器人?稚晖君最新回应来了!

传:新一轮半导体补贴!化合物半导体排除在外!

苹果的“芯”病,难医

推荐阅读

换一换