打造IC人才
科技生态圈

芯片工程师必读!收好这份ic设计专业术语词典!

发布时间:2022-12-06

来源:IC修真院

作为“新芯人类”复杂的术语,时常让人摸不着头脑,在学习时,发现对常用的缩写或者术语不能理解和准确的表达其中的意思,快来收藏起来吧!

英文缩写英文全称汉语释义
ADCAnalog to Digital Convert模拟信号到数字信号的转换电路
AHBAdvanced High Performance BusARM公司推出的AMBA总线规范之一,主要用于高性能模块(如CPU、DMA和DSP等)之间的连接
APRAuto place and route自动布局布线,是数字后端版图实现的主要流程
ARMAcorn RISC Machine英国ARM公司,手机或者移动芯片中常用的CPU处理器,现在低功耗设计中基本都采用ARM CPU
ASICApplication Special Integrated Circuit专用集成电路,芯片设计公司的主流设计流程
ATPGAuto Test Pattern Generator测试向量自动生成工具,DFT中的常见流程
AXIAdvanced eXtensible InterfaceARM公司推出的AMBA总线规范之一
BEBack End后端,指IC设计中的后端设计流程
BISTBuild in System Test内建测试系统,DFT中的常见流程
CADComputer Aided Design计算机辅助设计,也是IC设计公司中的一个部门,专门帮助提供软件自动化
CDCclock domain crossing异步时钟时序检查,是数字设计中的重要步骤
COVERAGE
覆盖率,数字验证常用术语,主要有代码覆盖率和功能覆盖率等
CPLDComplex Programmable Logic Device复杂可编程器件,和FPGA类似
CTSClock tree synthesis时钟树综合,是数字后端实现中的重要流程
DACDigital to Analog Convert数字信号到模拟信号的转换电路
DCdesign compilersynopsys公司的数字综合工具
DFTDesign for Test为了增强芯片可测性而采用的一种设计方法,是数字IC流程中的重要步骤
DMADirect Memory Access直接内存存取
DRAMDynamic Random Access Memory动态随机存取存储器,最为常见的系统内存
DRCDesign Rule Check生成版图后检查其是否符合工艺厂提供的设计规则,如宽度、间距、面积等。
DSPDigital Signal Processing数字信号处理模块,IC设计公司的算法实现经常采用
DUTdesign under test待测试的设计模块
DUVdesign under verification和DUT的意思类似
ECOEngineering Change Order在项目后期,只能在门级对芯片设计进行修改
EDAElectronic Design Automation电子设计自动化,IC设计流程中需要使用非常多的EDA工具
EEPROMElectrically Erasable Programmable Read Only Memory电可擦除只读存储器
ERCElectronic Rule CheckIC设计经过Layout后检查其版图是否符合电气规则
FEFront End前端,数字IC设计中的前端设计流程
FLASHFlash EEPROM Memory闪存,同时具有RAM快速读取数据的特点与EEPROM的可擦除及非易失性。
FMformal形式验证,网表与verilog进行比较
Foundry
指芯片制造加工厂的代工业务,负责将设计完成的芯片生产出来
FPGAField Programmable Gate Array现场可编程门阵列,与ASIC流程相对应
FSDB
数字IC设计中常用的波形文件格式
FSMFinite state machine数字逻辑设计中的有限自动状态机
FULLCHIPfullchip level常用于数字前端设计和验证,指系统级和芯片级
GDSII
版图layout的文件格式
GLSgate level simulation指数字验证中的门级仿真
GPIOGeneral Purpose Input Output通用输入/输出,总线扩展器
HDMIHigh Definition Multimedia Interface高清晰度多媒体接口,是一种数字化视频/音频接口技术规范
I2CInter-Integrated CircuitIIC是一种常用的多向控制总线,简单,只有两根线
ICIntegrated Circuit集成电路
ICCIC Compilersynopsys公司用于自动布局布线的一款软件,很多公司都在用
IEEEInstitute of Electrical and Electronics Engineers电气和电子工程师协会
INNOVUS
cadence公司的数字版图实现工具
IPIntellectual Property知识产权,数字IC设计中一般将最小的设计模块成为IP
JTAGJoint Test Action Group联合测试工作组,是一种国际标准测试协议,多用于芯片测试用
Layout
版图,指芯片最终生成的版图,类似于建筑行业中的设计图纸
LPSlow power simulation低功耗仿真,多用于低功耗设计验证中
LSILarge-scale intergrated circuit大规模集成电路
LUTLook Up Table查找表,用于存一些数据,本质就是一个RAM
LVSLayout versus Schematic版图与电路图一致性检查,变成版图后检查其版图与门级电路是否一致
MCUMicrocontroller unit微控制器,主控模块
MIPIMobile Industry Processor Interface移动产业处理器接口,为移动应用处理器制定的开放标准和一个规范
Modelsim
mentor公司的数字前端仿真工具,也叫QUESTASIM
MPWMultiple Project Wafer多项目晶圆投片,指在同一种工艺的不同芯片放在同一块晶圆(Wafer)上流片,是小公司节省成本的有效手段
MSBMost Significant Bit一个多bit数据的最高有效位,相对应的概念是LSB
NCSIM
cadence公司的数字前端仿真工具
NDRNon-Default Route非默认连线规则,版图实现中的重要概念
Netlist
门级网表,一般是RTL Code经过综合工具生成的网表文件
NFCNear Field Communication一种近距离无线通讯技术
OCPOpen Core Protocol一个高效的、总线独立的、可配置和高度可扩展的接口协议
PAD
指芯片的input/output 端口
PBAPath-based analyze基于路径的时序分析
PCIePeripheral Component Interconnect Express外设组件互连标准,一种常见的总线标准
PDPhysical design物理设计,一般指数字后端的版图设计
PERL
数字IC设计常用的一种脚本语言,非常适合文本处理
PLLPhase Locked Loop锁相环,一般用于时钟性倍频电路,用来产生时钟clock
PTprime timesynopsys公司的静态时序分析工具
PVPhysical verification物理验证,数字版图实现后需要做的验证
Python
常用的脚本语言,现在在人工智能方面使用很多,大受欢迎
R&Dresearch and design研发中心
RAMRandom Access Memory随机存储器
REGRESSION
回归测试,简单来说就是讲所有的测试用例不断的重复的跑,直到没有错误稳定一段时间
RFRadiation Frequency发射频率,射频电路
RISCReduced Instruction Set Computer用于CPU中的精简指令集
ROMRead Only Memory只读存储器,具有非易失性。
RTLRegister Transformation Level寄存器传输级,多指使用verilog来描述的层次
Shell
数字IC设计常用的一种脚本语言,和linux结合紧密
SISignal Integrity信号完整性
signoff
验收机制,验收标准
SoCSystem on Chip片上系统,一般指规模比较大的芯片,大多含有CPU/MCU等
SPECspecification说明书,规范,每个岗位工程师都要写相应的spec
SPISerial Peripheral Interface串行外设接口,是一种高速的,全双工,同步的通信总线
SRAMStatic Random Access Memory静态随机存取存储器
STAStatic Timing Analysis静态时序分析,数字IC设计流程中的重要环节
SVsystemverilog主流的数字验证语言
Tapout
流片,将最终的版图文件送到工艺厂去生产
TCLTool Command Language工具命令语言。数字后端设计中常用的脚本语言
tessent
mentor公司的DFT工具,市场占有率很高
Testbench
测试平台,数字验证搭建用来测试的平台
TTLTransistor-Transistor LogicTTL电平标准,规定+5V等价于逻辑1,0V等价于逻辑0
UARTUniversal Asynchronous Receiver/Transmitter通用异步收发传输器,一种常见的IP模块
USBUniversal Serial Bus通用串行总线,一种高速的连接外设的总线协议
UVMUniversal Verification Methodology主流的数字验证方法学,基于systemverilog
VCDvalue change dump一个通用的波形文件格式,信息详细,但文件较大
VCS
synopsys公司的数字前端仿真工具
Verdi
synopsys公司的数字前端debug工具
VHDLVHSIC(Very High Speed IC) Hardware Description Language一种硬件描述语言,和verilog类似,现在使用的公司不多了
VivadoVivadoFPGA厂商赛灵思公司2012年发布的集成设计环境
VLSIVery-large-scale integrated circuit超大规模集成电路

相关推荐:

中国二线城市有哪些比较知名的芯片设计企业?

可测性设计DFT

数字ic设计需要学什么?学习路线分享!

立即下载

推荐阅读

换一换