打造IC人才
科技生态圈

验证组件配置

发布时间:2023-06-26

来源:芯片验证工程师

一些典型的验证组件配置参数示例:

验证组件配置机制 

UVM提供了一种配置机制允许验证环境集成者在不知道验证组件的具体实现的条件下配置环境,示例:


图片


uvm_config_db是一个type-specific的配置机制,配置层次化验证环境中某个层级的参数。uvm_config_db建立在更通用的uvm_resource_db之上,uvm_resource_db提供全局非层次化的数据共享。上述:


图片

在uvm_resource_db和uvm_config_db之间做出选择 

uvm_config_db 和 uvm_resource_db 共 享 同 一 个 数 据库,因此可以用uvm_config_db::set()写数据库,用uvm_resource_db::read_by_name()从数据库检索该配置。

选择uvm_resource_db还是uvm_config_db的考量是层次路径对参数设置是否重要。对于与层次路径有关的配置属性,例如,"为特定agent中的所有组件设置coverage_enable",uvm_config_db 是 正 确 的 选 择 。而如果希望配置参数被共享而不考虑层次路径时,应该使用uvm_resource_db。

使用配置类 

一些验证组件会随机一个配置类,这些参数配置之间的依赖关系是通过configuration object内的约束来实现的。在这种情况下,用户可以扩展配置类以添加新的约束,在配置类被随机化后使用uvm_config_db::set()将配置对象指针set给验证环境。

相关推荐:

ic验证的主要工作流程和验证工具是什么?

IC设计_UVM验证知识点总结

数字IC验证|UVM重点归纳2

立即下载

推荐阅读

换一换