打造IC人才
科技生态圈

数字IC验证

  • 线上授课
  • 项目实战
  • 推荐就业
  • 免费试听

自购买期一年有效

2844人报名

1205

课程简介:

本课程以数字IC验证岗位为导向,重在培养满足企业需求的数字IC验证工程师。

课程讲解了数字IC验证的每个步骤,教会同学们如何制定验证计划,验证策略,如何搭建平台以及验证执行方法。通过企业真实项目案例让学员熟练掌握VCS、DVE、VERDI等相关EDA工具,能够独自完成核心数字IC的验证工作。

适合人群:

本课程适合本科及以上学历,电子类相关专业的人群学习(如:电子信息、微电子、计算机等);点击咨询>> 你适合学芯片验证吗?

主讲老师:

溪林老师:10年以上数字IC验证专家级工程师。曾就职于华为担任专家级验证工程师.......

Nancy老师:10年以上数字IC验证工程师。曾就职于中兴通信,INTEL,担任高级芯片验证工程师.......

实战派老师指路,不走弯路,助你学习核心技能,就业顺畅。更多老师>>

课程安排:

线上直播课+线下项目实训,上课时间为每周3次,每次2节课,24小时实时答疑;

点击:了解详细的上课安排>>>>

项目实操:

项目名称:SoC芯片系统级验证 

模块级项目:USB,CPU,MC,DMA,DDRC,12C, FLASH,SPI(选一)

项目类型:低功耗SoC芯片、商业级真实项目

项目工艺:28nm;

点击:了解实战项目详情>>>>

课程大纲:

数字ic验证

点击:获取完整课程大纲>>>>

就业薪资(参考):

平均薪资35W/年

初级工程师(20W - 50W)、中级工程师(45W - 80W)、高级工程师(60w - 100w)

以往学员就业案例>>>>

就业介绍:

就业指导课+ 1对1就业服务 + 终身就业跟踪,随时提供就业服务。

1000余家企业招聘需求衔接、与大厂深度合作,定期为企业输送IC人才!

点击:定制专属就业计划>>>>

学员信息_01


0615

大家都在问:

报名费用多少钱?

学习周期是多长时间?

零基础可以学会吗?

报名流程是怎么样的?



第一章 Linux

  • 1. LINUX基本知识及常用命令

  • 2. LINUX常用命令_演示1

  • 3. LINUX常用命令_演示2

  • 4. LINUX常用命令_演示3

  • 5. vim基本操作(1)

  • 6.vim基本操作(2)

第二章 EDA工具

  • IC修真院数字电路全流程课程—基础课—EDA工具简介

  • 1.EDA工具简介

  • 2.makefile简介

  • 3.VCS介绍

  • 4.VCS的使用

  • 5.verdi简介

  • 6.nwave使用简介

  • 7.nTrace的介绍和使用1

  • 8.nschema使用简介

  • 9.verdi使用简介

第三章 数字电路

  • 1.数字信号描述方法

  • 2.数制

  • 3.二~十进制数之间的转换

  • 4.其他不同数制间的转换

  • 5.进制数的算数运算

  • 6.有符号数的表示1

  • 7.有符号数的表示2

  • 8.补码的加减运算

  • 9.二~十进制码

  • 10. 格雷码

  • 11. N.ASC码和奇偶检验码

  • 12. N.逻辑代数简介

  • 13. 基本逻辑运算

  • 14. 复合逻辑运算

  • 15. 三态输出门电路

  • 16. 集成逻辑门简介

  • 17. 逻辑代数的基本定律

  • 18. 逻辑代数的基本规则

  • 19. 逻辑函数及其表示方法

  • 20. 逻辑函数表达式的形式

  • 21. 逻辑函数的代数化简法

  • 22. 逻辑函数式的变换

  • 23. 逻辑函数的最小项表达式

  • 24. 逻辑函数的最大项表达式

  • 25. 卡诺图的引出

  • 26. 逻辑函数的卡诺图表示法

  • 27. 逻辑函数的卡诺图化简法

  • 28. 含无关项的逻辑函数化简

  • 29. 逻辑门的替代符号

  • 30. 组合逻辑电路的分析_1

  • 31. 组合逻辑电路的设计过程_1

  • 32. 组合逻辑电路的优化实现_1

  • 33. 组合逻辑电路中的竞争冒险

  • 34. 编码器

  • 35. 集成编码器的使用

  • 36. 二进制译码器

  • 37. 译码器的应用

  • 38. 二十进制译码器

  • 39. 七段显示译码器

  • 40. 数字显示电路

  • 41. 数据分配器

  • 42. 数据选择器

  • 43. 数据选择器的应用

  • 44. 数值比较器

  • 45. 多位数值比较器

  • 46. 一位加法器

  • 47. 多位数加法器

  • 48. 锁存器和触发器

  • 49. 基本SR锁存器

  • 50. 基本SR锁存器

  • 51. 门控SR锁存器

  • 52. 基本SR锁存器

  • 53. D锁存器的电路结构

  • 54. D锁存器的动态特性

  • 55. 主从D触发器

  • 56. 其他控制端的D触发器

  • 57. 其他控制端的D触发器

  • 58. 主从D触发器的动态特性

  • 59. 维持阻塞D触发器

  • 60. D触发器

  • 61. JK触发器

  • 62. T触发器和SR触发器

  • 63. 时序逻辑电路1

  • 64. 时序逻辑电路2

  • 65. 同步时序逻辑电路分析

  • 66. 异步时序电路分析

  • 67. 寄存器和移位寄存器

  • 68. 计数器简介

  • 69. 同步二进制计数器

  • 70. 集成计数器

  • 71. 其他计数器

  • 72. 逻辑门电路简介

  • 73. MOS管及其开关特性

  • 74. 基本CMOS逻辑门电路

  • 75. 其他CMOS门电路

第四章 Verilog HDL

  • 1. verilog课程内容介绍

  • 2. HDL语言介绍

  • 3. Verilog语言的发展及特点

  • 4. 数字系统抽象层次

  • 5. 抽象级建模

  • 6. 设计方法及流程介绍

  • 7. verilog建模

  • 8. 模块概念介绍

  • 9. 仿真测试概念介绍

  • 10. 模块结构概念介绍

  • 11. 关键字&标识符

  • 12. 编码标准

  • 13. 数据类型_常量

  • 14. 数据类型_参数传递

  • 15. 数据类型_变量

  • 16. 算术运算符

  • 17. 符号分类&优先级

  • 18. 逻辑运算符

  • 19. 运算符示例讲解(1)

  • 20. 运算符示例讲解(2)

  • 21. 赋值语句&块语句介绍

  • 22. 阻塞赋值&非阻塞赋值

  • 23. 块语句示例

  • 24. if_else语句

  • 25. case语句

  • 26. 条件语句注意事项&示例

  • 27. for语句

  • 28. repeat语句

  • 29. while&forever语句

  • 30. always语句实现循环

  • 31. 结构语句_always(1)

  • 32. 结构语句_always(2)

  • 33. 结构语句_initial

  • 34. 任务和函数(1)

  • 35. 任务和函数(2)

  • 36. task&function的区别

  • 37. 系统任务(1)

  • 38. 系统任务(2)

  • 39. 编译预处理(1)

  • 40. 编译预处理(2)

  • 41. 编译预处理(3)

  • 42. 练习(1)

  • 43. 练习(2)

  • 44. 练习(3)

  • 45. 练习(4)

  • 46. 练习(5)

  • 47. 练习(6)

  • 48. 练习(7)

  • 49. 练习(8)

  • 50. 练习(9)

  • 51. 练习(10)

  • 52. 练习(11)

  • 53. 练习(12)

  • 54. 练习(13)

  • 55. 练习(14)

  • 56. 行为描述&混合描述

  • 57. 门级描述

  • 58. 测试与验证

  • 59. 状态机概念

  • 60. 状态机的分类&实现

  • 61. 状态机的实现&转移图

  • 62. 序列检测示例

  • 63. 阻塞与非阻塞(1)

  • 64. 阻塞与非阻塞(2)

  • 65. 可综合&不可综合语法举例

  • 66. 可综合设计举例

  • 67. Verilog小结

第五章 Verilog实验

  • 1.状态机概念

  • 2.状态机概念

  • 3.状态机的实现&转移图

  • 4.序列检测示例

  • 5.饮料机工作原理介绍上

  • 6.饮料机工作原理介绍下

  • 7.饮料机verilog代码解析

  • 8. 同步FIFO相关概念(1)

  • 9. 同步FIFO相关概念(2)

  • 10. 同步FIFO代码实现及仿真(1)

  • 11. 同步FIFO代码实现及仿真(2)

第六章 SV进阶

  • 1. SV 简介

  • 2. SV数据类型

  • 3. 数组

  • 4. 数组的操作

  • 5. 结构体_枚举类型_字符串

  • 6. 过程语句

  • 7. SV子程序

  • 8. SV的激励时序

  • 9. SV的接口

  • 10. SV接口的驱动和采样

  • 11. SV类

  • 12. SV 类的成员操作

  • 13. SV类的封装和进程

  • 14. SV的多态

  • 15. SV的多态(实操)

  • 16. SV的虚接口

  • 17. SV的虚接口(实操)

  • 18. SV 包的使用

  • 19. SV多线程之间的通信

  • 20. SV 随机化约束的种类

  • 21. SV 随机化约束的种类(实操)

  • 22. SV的随机约束控制

  • 23. SV的随机约束控制(实操)

  • 24. SV 随机化约束的概率

  • 25. SV的数组约束

  • 26. SV Assertions断言

  • 27. SV的覆盖策略及覆盖组

  • 28. SV的覆盖率数据采样

  • 29. 交叉覆盖率及覆盖选项

  • 30. SV的DPI

  • 31. SV语法基础总结.mp4

第七章 验证理论&项目实战

  • 1. DUT

  • 2. 验证策略

  • 3. 验证方法

  • 4. 验证平台

  • 5. 验证点提取

  • 6.验证执行

  • 7. SV实验—异步FIFO(1)

  • 8. SV实验—异步FIFO(2)

  • 9. SV实验—异步FIFO(3)

  • 10. SV实验—异步FIFO(4)

  • 11. SV实验—异步FIFO(5)

  • 12. 后仿真

第八章 UVM

  • 1. uvm简介

  • 2. UVM简介——上机

  • 3. uvm_factory

  • 4. uvm_factory——上机

  • 5 uvm_sequencer

  • 6. uvm_sequencer——上机

  • 7. uvm_driver_monitor

  • 8. uvm_driver_monitor——上机

  • 9. uvm_scoreboard

  • 10. uvm_scoreboard——上机

  • 11. uvm_agent_env_test

  • 12. uvm_agent_env_test——上机

  • 13. uvm_phase_objection

  • 14. uvm_phase_objection——上机

  • 15. uvm_transaction

  • 16. uvm_transaction——上机

  • 17. uvm_sequence

  • 18. uvm_sequence——上机

  • 19. uvm_do

  • 20. uvm_do——上机

  • 21. uvm_sequence_advance

  • 22. uvm_sequence_advance——上机

  • 23. config_db

  • 24. config_db——上机

  • 25. uvm_message

  • 26. event pool

  • 27. event pool——上机

  • 28. RAL

  • 29. RAL——上机(创建寄存器模型)

  • 30. RAL——上机(寄存器模型应用)

  • 31. TLM

第九章 uart模块验证

  • 1. uart协议_spec简介.mp4

  • 2. UART_SPEC讲解review

  • 3. uart验证环境文件结构介绍.mp4

  • 4. 实训04UART验证策略和验证点提取.mp4

  • 5. UART验证环境框架图

  • 6. apb_uvc介绍.mp4

  • 7. uart_uvc介绍.mp4

  • 8. lab1.mp4

  • 9. lab2.mp4

  • 10. lab3_ralgen生成寄存器模型.mp4

  • 11. lab3_寄存器模型的集成.mp4

  • 12. lab3_寄存器模型的使用.mp4

  • 13. lab3_使用寄存器模型内建sequence验证寄存器.mp4

  • 14. lab4.mp4

  • 15. lab5_duplex case讲解.mp4

  • 16. lab5_duplex case修改配置.mp4

  • 17. lab5_scoreboard讲解.mp4

  • 18. lab6_code_coverage的收集与分析.mp4

  • 19. lab6_coverage脚本及相关covergroup文件.mp4

  • 20. lab6_function_coverage的收集与分析.mp4

  • 21. lab7_uart实训文档介绍.mp4

第十章 SPI模块验证

  • 1.apb协议

  • 2.spi协议

  • 3.验证流程

  • 4.验证框架图

  • 5.scoreboard和testcase

  • 6.覆盖率

  • 7.SPI答疑

第十一章 APB2AHB模块验证

  • 1.AHB协议

  • 2.验证框图和验证环境

  • 3.apb答疑

第十二章 包含SPI、UART、AHB2APB的SubSystem验证

  • 1.testcase解析

  • 2.Lab1

  • 3.Lab2

  • 4.答疑

在未来十年,我国集成电路产业发展将进入一个关键时期,可现阶段我国的IC设计人才是比较稀缺的,随着芯片规模不断加大,在IC设计过程中验证的复杂度也进一步加剧,IC验证岗位需求也增大,很多大公司,数字前端设计工程师与验证工程师的比例已经达到1:3。资深数字验证工程师(5年经验)的薪资在50K-80K,所以对于即将走入IC验证行业的科班人,亦或是其他专业有意转行IC的在职人,数字验证工程师将是你踏入IC行业一个不错的选择。

职业简介:

数字验证处于数字IC设计流程的前端,属于数字IC设计类岗位的一种。数字验证主要分成几种层次的验证:IP level, unitlevel,fullchip/SoC level, gatelevellevel,硬件加速仿真等。

就业薪资(参考):

平均薪资35W/年

初级工程师(20W - 50W)、中级工程师(45W - 80W)、高级工程师(60w - 100w);

工作内容:

验证工程师主要负责:编程、debug;

1. 阅读各种SPEC;

2.撰写verification spec;

3. 码代码,搭建验证环境;

4. 创建test cases;

5. debug;

6. regression和coverage;

7. support;

8. meeting;

数字ic验证


×