打造IC人才
科技生态圈

SystemVerilog验证方法学

发布时间:2022-06-23

来源:IC修真院

  本书借助许多用SystemVerilog编写的例子,介绍和说明一套完整的验证方法学。它涵盖了所有最新的验证技术,其中包括:验证计划制定、TestBench架构、受约束随机激励产生、以覆盖率为主导(coverage-driven)的验证、基于断言(assertion-based)的验证、形式化分析,以及基于一个开放、完善的方法学上的系统级验证。此外,本书也包括标准程序库、VMM和VMM检查器,从而可帮助缩短验证开发的时间。

  本书可作为电子工程类、自动控制类、计算机类的大学本科高年级学生及研究生教学用书,亦可供其他工程人员自学与参考。

  本文件内会详细给大家介绍。点击下方蓝色按钮即可免费下载PPT原文件,希望对大家有所帮助。

 

  相关下载:

  数字IC后端设计

  模拟IC版图设计—大厂面试题

  System Verilog搭建RTL验证平台详细步骤

立即下载

推荐阅读

换一换